laser spike annealing

Surface cooling in the millisecond time scale is dominated by conductive heat dissipation through the lower temperature substrate, which is several orders of magnitude faster than radiation heat loss or convection cooling through surfaces. The unique nature of the LSA platform enables our . We continuously strive to improve our systems to meet continuously evolving requirements. Long dwell time (2~40ms) adds more thermal budget for defect curing. Results show that the main contenders for the 45nm CMOS are SPER and . 0 The unique nature of the LSA platform enables our . The portal can access those files and use them to remember the user's data, such as their chosen settings (screen view, interface language, etc. A pioneer of laser processing, Ultratech developed laser spike anneal technology, which increases device yield, improves transistor performance and enables the progression of Moore's Law for 32-nm and below production of state-of-the-art consumer electronics. "The transition from an n-type doped area to a p-type doped area has to be as narrow as possible, and with the laser-annealing technology it can be made almost atomically abrupt. In the future, thermoreflectance imaging can be exploited to understand not only impurity interaction in IIIV and IIIN materials, but also to explore kinetics and phase transformations in metastable materials. 0000001499 00000 n You will be redirected once the validation is complete. Close. ,. The European semiconductor equipment market is expected to grow along with the world market. 40 505 10 15 Time of Unroofing Paleodepth of 120C paleoisotherm Exhumed partial annealing zone Exhumed partial annealing zone-- distinctly shorter mean track lengths Long tracks only--all pre-2 Ma . FIGURE 3 shows different LSA annealing temperature-time (T-t) regimes that can be used to meet various application needs. Spike annealing was performed in a Mattson RTP sys-tem with a maximum temperature of 1000 C-1050 C. 0000004092 00000 n Activation can be improved using MSA in combination with additional implantation. The waveforms of each of these reflections are out of phase and will have different wavelengths. The metal begins to oxidize internally. [2] Ruipeng Li, Sterling Cornaby, Marleen Kamperman, and Detlef-M. Smilgies: "Nanocomposite Characterization on Multiple Length Scales Using SAXS", J. Synchrotron Rad. Thermal annealing is a standard method for bringing block copolymer films into their thermal equilibrium morphology. There are two main approaches to annealing; one is the traditional lamp-based approach, and the other is LSA, a more advanced option. All rights reserved. Demystifying 3D Printing Resolution, Accuracy, and Precision. How does Peak to Valley (PV) and Root Mean Square (RMS) affects the quality of your optic? We pulse the laser. (A. M. Maxam and W. Gilbert, Methods of Enzymology, 1980, 65: 499-560), matrix-assisted laser desorption ionization time-of-flight (MALDI . Please enable cookies on your browser and try again. ", Laser-annealing technology is about four decades old, but was generally considered to be incapable of handling the spatial nonuniformities on a semiconductor wafer full of varying device geometries. 2018Apr 11 - Jun 4 In this blog post, well focus on the annealing process, and look at the advantages LSA has over conventional, lamp-based thermal annealing, and why LSA is a better solution that results in a stronger foundation for advanced logic and memory devices. of 10-8 -cm2 is used. The LSA101 dual-beam tools were chosen over competing systems due to greater flexibility and capability for annealing with low overall thermal budgets. The company's first commercial product based on the new LTP technology platform will be for laser spike annealing (LSA), which will enable ultra-shallow junction formations for multiple generations. All Rights Reserved. The spike anneal using Ar arc lamp has been demonstrated. c\Bo\@. Between these two technologies, the choice is not always easy. Outline . "To date, we have demonstrated transistors down to 30 nm using the technology, and at this point we are ready to demonstrate circuits as well," he said. "We then match the size of that beam to the size of the dye, and so we are exposing a single dye in a single shot. So, what does laser spike annealing (LSA) have to do with all of this? Lower leakage and better surface morphology are also observed in hafnium-based, high-k films when annealed by a laser. LSA 101 Laser Spike Anneal System. The full width at half maximum of the laser trace is about mm wide, and can thus be resolved spatially with the x-ray microbeam of 15 m (Figure 1b). Over the last decade, new process technologies and materials have emerged, such as strained silicon, high-k/metal gate (HKMG) and advanced silicide. The heat dissipation is between two-dimensional (2D) and three-dimensional (3D) (2D for an infinitely long line beam, and 3D for a point source). "Instead of having to expose complete dyes, we would introduce a lithography component, so we could in fact expose individual transistors," Talwar said. Meanwhile, the junction depth can be controlled by the low-temperature annealing process prior to the laser . Previously thought impractical for semiconductor-scale manufacturing applications, laser annealing is likely to take on a key role as the semiconductor industry proceeds toward sub-100-nm feature sizes, according to Somit Talwar, who described the technology in July at the Semicon West exhibition in San Francisco, CA (see figure). For applications relying on non-equilibrium dopant activation, the extra thermal budget due to the slow ramp down could be a concern for deactivation. strings of text saved by a browser on the user's device. In the new laser-annealing process, however, a solid-state laser source heats the silicon to its 1400C melting point in depths ranging from 50 to 1000 . endstream endobj 258 0 obj <> endobj 259 0 obj <> endobj 260 0 obj <>/ColorSpace<>/Font<>/ProcSet[/PDF/Text/ImageB/ImageC]/ExtGState<>>> endobj 261 0 obj [/ICCBased 273 0 R] endobj 262 0 obj <> endobj 263 0 obj <>stream Within this profile the polymer film reaches different peak temperatures, and the sweeping speed determines the dwell time at a specific temperature. xref lAzMuC-NE/s0u)]s # We place cookies on your device to give you the best user experience. 0000001364 00000 n 0000004877 00000 n Laser Spike Annealing for sub-20nm Logic Devices Jeff Hebb, Ph.D. Julyy, 10, 2014 1 NCCAVS Junction Technology Group Semicon West Meeting July 10, 2014. 0000001815 00000 n 257 18 Hence heat dissipation occurs only in one dimension (1D vertical direction). Figure 2: Typical microbeam GISAXS image and intensity profile integrated over the dashed white rectangle and after background subtraction. LSA technology uses a long wavelength p-polarized CO2 laser with Brewster angle incidence. 0000003863 00000 n Temperatures were calibrated using optical functions of bulk Si with effects of black-body radiation emission captured at longer wavelengths. 0 Focus on Laser Spike Annealing & AP Lithography tools. The METHODS FOR OVERLAY IMPROVEMENT THROUGH FEED FORWARD CORRECTION patent was . Close. . <]>> The same goes for advanced logic and memory architectures. We use a CO 2 laser with a 60 W continuous wave (CW) maximum output, operating at a wavelength of 10.6 m . By using our websites, you agree to placement of these cookies and to our. As the metal is heated, oxygen is diffused below the surface. DOI: 10.1109/ASMC.2011.5898180 Corpus ID: 29379160; Laser spike annealing for nickel silicide formation @article{Hebb2011LaserSA, title={Laser spike annealing for nickel silicide formation}, author={J. P. Hebb and Yun Ran Wang and Shrinivas Shetty and J. T. Mcwhirter and David M. Owen and Michael Shen and Van Le and Jeffrey Mileham and David P. Gaines and Serguei Anikitchev and Shaoyin Chen . PLAINVIEW, N.Y., Nov. 22, 2021 (GLOBE NEWSWIRE) - Veeco Instruments Inc. (NASDAQ: VECO) today announced they have shipped the first LSA101 Laser Spike Annealing System from their new San Jose, California facility to a leading semiconductor manufacturer. When: 1:00 p.m. 2:00 p.m. EST, 26 April 2022. Advanced DRAM architectures need higher activation and shallow junctions that just cant be met with traditional annealing. Drastic FinFET performance improvement has been achieved with co-optimization of conformal doping, selective epitaxial growth, implantation and MSA. In addition, the backside needs to be floated to relieve the stress caused by global wafer bending due to the vertical thermal gradient. This knowledge allows better design of polymer annealing processes in applications such as directed self-assembly (DSA) and could open the door for block copolymer spatially templated chemistry using laser spike annealing. Please enable JavaScript on your browser and try again. Ultratech, Inc. Laser spike annealing and its application to leading-edge logic devices, Proceedings of 16th IEEE International Conference on Advanced Thermal Processing of Semiconductors, 2. With the laser system the polymer can be heated way past the temperature at which the polymer would decay if held at that temperature long enough. ", https://www.facebook.com/pages/Laser-Focus-World/126899915297, https://www.linkedin.com/showcase/laser-focus-world, Meta-optics breakthrough makes hologram devices possible, BMF Announces High Throughput Micro-Precision 3D Printer, Boston Micro Fabrication (BMF) announced a new 3D printer, the microArch S350.. BMF specializes in 3D printers with extreme resolution, suitable for p, The Impact and Mitigation of Thermal Effects in High-Precision Laser Scan Heads, About the Webinar. In fact, the marking does not change the surface roughness of the metal surface. Ultratech plans to ship the LSA101 tools to the customers' foundries to China in Q1 2017. Hence a single laser spike annealed trace provides a cross section of the thermal history of the annealing process. FIGURE 4 shows the schematics of our patented micro-chamber approach that allows ambient control to be implemented in a scanning system using non-contact gas bearing. This knowledge allows better design of polymer annealing processes in applications such as directed self-assembly (DSA) and could open the door for block copolymer spatially templated chemistry using laser spike annealing. Oxygen has a strong impact on the characteristics of HKMG and it is important to control the ambient environment during the gate annealing. Flash annealing was performed with a peak temperature of 1256 C-1322 C. ), or their login data. 2021 Cornell University Library | Privacy | Web Accessibility Assistance. Construction of Polypyrrole-Coated CoSe 2 Composite Material for Lithium-Sulfur Battery The service requires full cookie support in order to view this website. Tpk = 1200C, dwell time = 10ms, preheat T = 800C for flash. Typically, the WID temperature range for LSA for USJ processes is on the order of 5-20oC. Annealing is used to induce softness, relieve internal stress, and to refine the structure. The firm's LSA100 and flagship LSA101 tools can be used to make ultra-shallow junctions and highly activated source/drain contacts in both logic chips and LEDs, states the company on its web site. Laser Spike Annealing of III-V Materials and Full-Field Thermal Imaging during Laser Spike Annealing 274 0 obj <>stream FIGURE 1. It's partly a function of the homogenizing optics, but mainly a function of the process integration tricks that we play. With MSA, junctions with enhanced activation and reduced diffusion can be obtained. A process of making sensors and sensor arrays that has the ability to manipulate of the morphology or flow of an applied drop or sample over the sensor array surface at any point in the patterning process and sensors and sensor arrays having increased sensitivity and limits of detection. For comparison, T-t regimes of conventional RTA and nanosecond melt laser annealing are also shown. Once cooled off, you are able to observe a change in the color of the metal. xb```f``z B@1vq"F826_Z~'ERyl~tA}C^wm*E7#,Q"1)QyU466$,rx`|"W+fV!,auG'3xvG [@K;&)GE& It is performed before the metal layers are added, and is instrumental in providing a structurally sound foundation for . A devices thermal budget is a time/temperature calculation. By YUN WANG, Ph.D., Ultratech, San Jose, CA. This produces more uniform temperature and stress distributions in product wafers than lamp-based short-time annealing processes. www.laserfocusworld.com is using a security service for protection against online attacks. Veeco's patented LSA101 and LSA201 Laser Spike Annealing (LSA) Systems deliver the highest temperatures in the microsecond time scale. Typical temperature programs for spike and ash annealing are shown in Figs. (1975). We have reviewed various applications of millisecond annealing for advanced device fabrication. 0000004887 00000 n 0000001684 00000 n Visit Ultratech online at: www.ultratech.com. RTP uses lamp sources to heat the silicon very quicklyon the order of secondsto temperatures of about 1000C, Talwar said. Laser Spike Annealing (LSA) is a powerful technique for investigating reaction kinetics at high temperatures in the sub-millisecond time regime. Abstract: Laser spike annealing (LSA) is a disruptive technology which has been successfully demonstrated for advanced junction engineeringcreating highly activated ultra-shallow junctions with near diffusion-less boundaries. A laser processing tool is only as good as the motion equipment underneath it. Ultratech, Inc. Oct 22, 2012, 04:30 ET. WL LI works at Institute of Frontier and Interdisciplinary Scienc and is well known for Residual Stress, Rectangular Plate and Cylindrical Shells. These devices must be the most advanced, most reliable chips available to meet the requirements of todays computing needs. The service requires full JavaScript support in order to view this website. FIGURE 2. 0000019775 00000 n PLAINVIEW, N.Y., Nov. 22, 2021 (GLOBE NEWSWIRE) -- Veeco Instruments Inc. (NASDAQ: VECO) today announced they have shipped the first LSA101 Laser Spike Annealing System from their new San Jose . Three large absorption bands due to the optical transitions between spike-like d. of states, characteristics of . 0000001279 00000 n This becomes challenging for conventional annealing processes. annealing (Fig. We doesn't provide 380v corn puff making machine products or service, please contact them directly and verify their companies info carefully. Alternatively, LSA uses a single narrow laser beam to heat the wafer surface from substrate temperature to the peak annealing temperature. The method can effectively reduce . The peak intensity and width are indicators for the quality of ordering attained during laser spike annealing. By leveraging its core competencies in optics engineering, system integration and extensive knowledge of laser processing, Ultratech has developed two revolutionary technologies -Laser Spike Annealing (LSA) and Laser Thermal Processing (LTP) - to enable thermal annealing solutions at the 65 nm technology node and beyond. Similar to the laser spike annealing system, the LM7 is also based on two laser sources, but provides annealing on a much shorter, nanosecond scale - meaning far less heat is transferred. Different process gas can be introduced to accommodate various annealing and material engineering needs. Conventional NiSi processing involves two RTA steps. Below the 10nm technology node, new materials with enhanced transportation, such as SiGe/Ge and III-V compounds, may be needed to meet the performance requirements. In addition to the traditional dopant activation and impurity profile control, MSA can also be used for interface engineering and material property modifications (structural, electrical, chemical, and mechanical). Laser Spike Anneal (LSA) technology combines a continuous-wave (CW) laser with projection optics and active beam feedback controls (uniformity, temperature, dwell time) to enable the ultra shallow junction formation. Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. The temperature dependence of reflectance at short wavelengths was used to determine the in-situ dynamic temperatures during CO2 LSA. As FinFETs shrink, interface contact resistance, Rc, becomes more critical (FIGURE 5). 380v corn puff making machine. Exposure of organosilicates in both the dense and porous state to very high temperatures (500-1300 degC) for . 0000019585 00000 n LSA is also compatible with new materials such as strained Si, SiGe, high-k and metal gates, and is extendable to new device structures.1. Hence a single laser spike annealed trace provides a cross section of the thermal history of the annealing process. Laser annealing consists of the slow heating of metals with a laser beam. 0000004157 00000 n The impact of MSA on HKMG is thinner equivalent oxide thickness (EOT) due to reduced interfacial layer growth from a lower thermal budget. As technology nodes shrink to 5nm and 3nm, processes must be adapted to suit a tighter thermal budget. For As, concentration enhanced diffusion is observed but can be reduced with short dwell time. :R*6+F]o8LQP(Z>&iQ(.`l"99`*\=!Xs/1j% "+r=J(LPIE$dJ-22k'r&.a0F_-,} 4` > endobj 444 0 obj <> endobj 445 0 obj <>/ColorSpace<>/Font<>/ProcSet[/PDF/Text/ImageB/ImageC]/ExtGState<>>> endobj 446 0 obj [/ICCBased 460 0 R] endobj 447 0 obj <> endobj 448 0 obj <>stream Technical details are considered proprietary, but the method basically involves shaping the output beam of a solid-state laser to provide uniform illumination for a step-and-repeat process. With laser annealing, it is possible to color the surface of very specific metals: steel, titanium and stainless steel. Under LSA, the activation of highdose implanted dopants was increased in both InGaAs and GaN to peak concentrations comparable (>80%) to the as-implanted dose. Global capital spending on semiconductor equipment is projected to grow 21.1 percent in 2014 and 21.0 percent in 2015. Goal is to outrun damage to the polymer by employing ultrafast heating and cooling rates. Laser spot for U ICP MS method for U-238 content . The Infona portal uses cookies, i.e. In advanced FinFET flow where contacts are formed after source/drain activation and gate stack, low thermal budget process is beneficial to minimize dopant deactivation and unintentional gate work function shift. Lamp based is a simple, slow process that uses white light to apply heat in on/off stages to bare silicon. Simulated temperature distribution in silicon substrate by millisecond nonmelt scanning laser (left) and flash lamp heating (right). Laser-spike annealing (LSA), developed by Cornell researchers backed by Semiconductor Research Corp. (Research Triangle, N.C.) , has already been tested for both 193-nanometer immersion lithography and 13-nm extreme ultra violet (EUV). 9 From the practical point of view, the laser-based annealing technique has difficulties in uniform heating of large areas. Mounted on an X-Y stage, the wafer is scanned under a stationary, shaped laser beam to locally heat and anneal exposed areas as . No other LSA tool on the market can do this. In response to increasingly complex process demands, Veeco developed a dual beam technology which expands the application space of non-melt laser annealing and features a second low-power laser beam to enable low-temperature processing. Within this profile the . Another important point in the temperature profile is the point where the temperature surpasses the glass temperature of the polymer and polymer chains become mobile. Clinicians annotated OCT images regarding BCC diagnosis, subtype and tumor thickness. It is a process that produces conditions by heating, and maintaining a suitable temperature, and then cooling. Built on Veeco's customizable Unity Platform, LSA 101's scanning technology delivers fundamental advantages in . Laser Spike Annealing. Outline . FIGURE 2 compares the temperature (T) profiles between laser and flash systems for the same peak surface temperature (Tpk) and dwell time (tdwell defined as the full-width-half-maximum duration when a fixed point on the wafer sees the laser beam or flash pulse). The next steps will be to work with customers on demonstrating functioning circuits and on placing tools in the field. 2023 Endeavor Business Media, LLC. Figure 3: Time-Temperature phase maps for moderate molecular weight (54 kg/mol) cylinder forming PS-b-PMMA polymer starting from (a) an initially well-ordered morphology and (b) initially disordered morphology. %%EOF The Cornell High Energy Synchrotron Source is a high-intensity X-ray source which provides our users state-of-the-art synchrotron radiation facilities for research in Physics, Chemistry, Biology, and Environmental and Materials Sciences. W:R9nsLvc{C"Nwc;sf={@ySY_[UYEN]@{'RS1q%}O> %7Q{Y:-1Z85@Rj X07? Executive-level communication, Ghost Writing: Speak with Bhavik Vasha, VP of Quality at Veeco San Jose about the Quality Checkup. FIGURE 6. It can also be used to improve activation and fine tune the junction depth. Parasitic resistance components for different nodes of FinFET, calculated using an analytical model. In positionin, Achieving Ultralow-Loss Photonics Array Alignment, About the Webinar. Weve been teetering on the brink of digital transformation for a while, and the COVID-19 pandemic pushed us right over the edge. %PDF-1.4 % n+c(]x>"hv3&m bW+1+xrA$udaooeD NUB,b@K7v |`4$;De3;Z t1O+uX|1FzBanN4{fU1 K8 The method includes performing laser spike annealing of a surface of a wafer by: generating with a plurality of fiber laser systems respective CW output radiation beams that partially overlap at the wafer surface to form an elongate annealing image having a long axis and a length LA along the long axis; heating at least a . "The other thing that is critical to device fabrication is that the junctions have to be more abrupt," Talwar said. 0000000656 00000 n ), or their login data. echo date('Y'); ?> Gold Flag Media LLC. You wouldnt build a skyscraper without a strong core structure, would you? Laser processing applications that leverage laser scan heads are especially susceptible to errors from thermal loads. Standard LSA used in front-end applications has Tpk ranging from 1050~1350C and tdwell from 0.2~2ms. - Thermal stress. The study shows that both disordering (Figure 3a) and ordering (Figure 3b) can be kinetically suppressed at sub-millisecond timescales. The dual beam system offers flexibility in tuning the temperature and stress profiles. Veeco is the industry leader driving HDD manufacturing to new levels of productivity. Within this profile the polymer film reaches different peak temperatures, and the sweeping speed determines the dwell time at a specific temperature. We developed an LSA tool that uses infrared light to create a short laser spike with a long wavelength: 10.6m at 600 watts of power to raise the temperature of the silicon for 150-200 microseconds precisely where the laser couples with the silicon. 1D-E. Spike in experiments for FAM101A and FAM101A AS. B,2[cYr[-WjBH=`*.0 u xt xDd?pDH;fB0A/20Mac2JiiP ^ 4MqXABPP03 T:@>.AAA%p]b`kn!G,4?)!`x]@osS LSA creates highly activated, ultra-shallow junctions with near diffusion-less boundaries in silicon. The time-resolved PL (TRPL) decay transients were measured using a picosecond pulse diode laser (EPL-470). 0000003433 00000 n 2023 Cornell High Energy Synchrotron Source, Run, run, as fast as you can laser spike annealing of block copolymer films, In-Person User Orientation and Safety Training, Calculator for absolute flux measurement using XPD100, Characteristic emission lines of the elements. Two- and three-dimensional photonics arrays are commonly used for coupling light in, About the Webinar. However, typical thermal annealing is slow, and polymers may degrade at high annealing temperature. Beamline scientist Detlef Smilgies configured the beamline for microbeam GISAXS, providing a spatial resolution of 15 microns, at a small-angle scattering resolution of up to 40 nm [2]. - Activate implanted dopants. Annealing and the Rate Distortion Problem Albert Parker, Tom\v Gedeon, . Since the thermal stress is localized, the backside can be chucked to facilitate heat sinking. How to assure the process repeatability, uniformity and precise control focused laser beam to avoid overlaps . Thermal annealing is a standard method for bringing block copolymer films into their thermal equilibrium morphology. By replacing the 2nd RTA with a high temperature MSA (700~900C), it can reduce leakage as well as improve performance. This allows for a uniform distribution of dopant within the junction, exceeding the RTP solubility limit and decreasing electrical resistance in the junctions by an order of magnitude. In addition to front-end and middle-of-line applications, there are also opportunities at the back-end. 0000002032 00000 n - Use combination of thermal/electrical . Y. Wang, S. Chen, M. Shen, et al. Recently, Ti re-emerged as an option for contact metal because of better thermal stability and potential lower SBH. startxref As the metal is heated, oxygen is diffused below the surface. FIGURE 3. The thickness of the internal oxide layer is determined by the highest temperature reached by . Stainless steel is commonly used in the medical, automotive, food, energy and heavy industries, thanks to its resistance to corrosion and low chemical reactivity. Temperature profiles were carefully calibrated in the lab for different laser power levels and dwell times. During laser annealing, the chromium oxide layer melts away. Figure 1a schematically shows the laser spike annealing procedure, where a focused laser beam from a 120 W infrared CO2 laser (=10.6 m) is swept across the sample. When developing a laser processing system, the motio, Camera range available with a microlens option, Wearable device promises easier glucose monitoring, Four-axes stages suited for laser scanning, drilling, and machining, Handheld aluminum analyzers use laser-induced breakdown spectroscopy, Electron photodetector offers electron responsivity down to 100 eV. Using MSA instead of RTA results in more precise dopant profile control, higher dopant concentration at the interface and less potential silicide defectivity, due to the lower thermal budget. The method comprises: adopting a metal material composition of Pt/Ti/Au as an ohmic contact metal of the p-type gallium arsenide, alloying the metal material composition of Pt/Ti/Au for 1 minute at 375 DEG C, and forming the ohmic contact of the p-type gallium arsenide. The semiconductor industry is in the midst of one of the biggest transitions of its time. Building devices at advanced process nodes to meet the needs of the digital transformation means taking a close look at processes every step of the way. A Review of Low-Temperature Solution-Processed Metal Oxide Thin-Film Transistors for Flexible Electronics - Free download as PDF File (.pdf), Text File (.txt) or read online for free. Spike Timing-Dependent Plasticity in the Address Domain R. Vogelstein, Francesco Tenore, . LSA extended process space. LSA technology plays an enabling role to overcomingmanufacturing challenges for sub-20nm logic devices. CHESS is operated and managed for the National Science Foundation by Cornell University. Medical computer scientists calculated the . The modulus and hardness of the low-k films can be improved without adversely impacting the k value using MSA. With MSA, because of the short duration, agglomeration does not occur until ~900C. Conversely, for material annealed at high temperature for long enough duration, the resultant morphology is purely quench determined. The thickness of the internal oxide layer is determined by the highest temperature reached by the surface of the metal during its heating; however, in most instances, it will remain below 3000 Ao (300nm). A modified scheme to tune the Schottky Barrier Height (SBH) of NiSi effectively by means of dopant segregation (DS) technique is presented. In this regime, significant advantages have been shown in applications of ultra-shallow junction formation in ion-implanted IIIV and IIIN semiconductor materials. The laser system, on the other hand, provides localized heating around the scanning beam. This book offers after an historical excursus selected contributions on fundamental and applied aspects of thermal processing of classical elemental semiconductors and other advanced materials including nanostructures with novel .